]> git.vpit.fr Git - perl/modules/Scalar-Vec-Util.git/blobdiff - Makefile.PL
Allow forcing the unit by passing UNIT=type to Makefile.PL
[perl/modules/Scalar-Vec-Util.git] / Makefile.PL
index cd341adbc211100fb67adc5a76c495445783d4a0..8cabdb8724009b8f203a668091c6fdfe5308da91 100644 (file)
@@ -72,25 +72,35 @@ sub check_exe {
  return $exe;
 }
 
-my ($pp, $skip_arg);
-for my $i (0 .. $#ARGV) {
- my $arg = $ARGV[$i];
- if ($arg =~ /^PP=(.*)/) {
-  my $val = $1;
-  if (do { no warnings 'numeric'; int $val } or $val =~ /^(?:y|yes)$/i) {
-   print "Forcing the pure-Perl implementation from the arguments passed to Makefile.PL.\n";
-   $pp       = 1;
-   $skip_arg = $i;
-   last;
+sub filter_argv {
+ my ($var, $code) = @_;
+
+ my $ret;
+
+ for my $i (0 .. $#ARGV) {
+  my $arg = $ARGV[$i];
+  if ($arg =~ /^\Q$var\E=(.*)/) {
+   my $val = $1;
+   $ret = $code->($val);
+   $ARGV[$i] = undef;
+   last if $ret;
   }
  }
-}
-if (defined $skip_arg) {
- splice @ARGV, $skip_arg, 1;
+
+ @ARGV = grep defined, @ARGV;
+
+ return $ret;
 }
 
 my ($cc, $ld);
-unless ($pp) {
+my $pp = filter_argv PP => sub {
+ my ($val) = @_;
+ return (do { no warnings 'numeric'; int $val } or $val =~ /^(?:y|yes)$/i)
+        ? 1 : 0;
+};
+if ($pp) {
+ print "Forcing the pure-Perl implementation from the arguments passed to Makefile.PL.\n";
+} else {
  $cc = check_exe('C compiler', 'CC', 'cc', 'CC');
  if (defined $cc) {
   my ($vol, $dir, $file) = File::Spec->splitpath($cc);
@@ -119,25 +129,31 @@ if (defined $cc and defined $ld) {
  $PARAMS{CC} = $cc;
  $PARAMS{LD} = $ld;
 
- my $unit = { bits => 8, size => 1 };
- if (not is_little_endian()) {
-  print "Forcing unit size of 8 on non-little-endian systems.\n";
+ my $type = filter_argv UNIT => sub { return $_[0] };
+ if (defined $type) {
+  print "Forcing '$type' as the unit.\n";
+  push @DEFINES, '-DBV_UNIT="' . $type . '"';
  } else {
-  print "Checking unit size in bits... ";
-  my $align = $Config{alignbytes} || 1;
-  my @bits = (8, 16, 32, 64);
-  for my $bits (@bits) {
-   my $size = $Config{"u${bits}size"};
-   next unless $size;
-   $unit = { bits => $bits, size => $size } if $size && $size <= $align;
+  my $bits = 8;
+  if (not is_little_endian()) {
+   print "Forcing unit size of 8 on non-little-endian systems.\n";
+  } else {
+   print "Checking unit size in bits... ";
+   my $size  = 1;
+   my $align = $Config{alignbytes} || 1;
+   my @units = (8, 16, 32, 64);
+   for my $unit (@units) {
+    my $unit_size = $Config{"u${unit}size"};
+    if ($unit_size and $unit_size <= $align) {
+     $bits = $unit;
+     $size = $unit_size;
+    }
+   }
+   print "$bits (actually $size bytes for $align bytes alignment).\n";
   }
-  print $unit->{bits},
-                " (actually $unit->{size} bytes for $align bytes alignment).\n";
+  push @DEFINES, '-DBV_UNIT="' . ($Config{"u${bits}type"} || "U$bits") . '"';
+  push @DEFINES, "-DSVU_SIZE=$bits";
  }
-
- my $bits = $unit->{bits};
- push @DEFINES, '-DBV_UNIT="' . ($Config{"u${bits}type"} || "U$bits") . '"';
- push @DEFINES, "-DSVU_SIZE=$bits";
 } else {
  $PARAMS{C}      = [ ];
  $PARAMS{XS}     = { };