X-Git-Url: http://git.vpit.fr/?a=blobdiff_plain;f=t%2F01-import.t;h=81e2e3fbd8931b74f67eeb330402f110ed480f7d;hb=ca5538ffb33de051f881859a9a9bd1f78f806626;hp=6b858496ee3102ea3eaf93077eb8ccc3ece46546;hpb=9e65e9bfbd5fa2ee747e865d1471b26ec229383c;p=perl%2Fmodules%2FVariable-Magic.git diff --git a/t/01-import.t b/t/01-import.t index 6b85849..81e2e3f 100644 --- a/t/01-import.t +++ b/t/01-import.t @@ -3,11 +3,11 @@ use strict; use warnings; -use Test::More tests => 15; +use Test::More tests => 17; require Variable::Magic; -for (qw/wizard gensig getsig cast getdata dispell SIG_MIN SIG_MAX SIG_NBR MGf_COPY MGf_DUP MGf_LOCAL VMG_UVAR VMG_COMPAT_ARRAY_PUSH_NOLEN VMG_COMPAT_ARRAY_UNDEF_CLEAR/) { +for (qw/wizard gensig getsig cast getdata dispell SIG_MIN SIG_MAX SIG_NBR MGf_COPY MGf_DUP MGf_LOCAL VMG_UVAR VMG_COMPAT_ARRAY_PUSH_NOLEN VMG_COMPAT_ARRAY_UNDEF_CLEAR VMG_COMPAT_SCALAR_LENGTH_NOLEN VMG_PERL_PATCHLEVEL/) { eval { Variable::Magic->import($_) }; - ok(!$@, 'import ' . $_); + is($@, '', 'import ' . $_); }