X-Git-Url: http://git.vpit.fr/?a=blobdiff_plain;f=t%2F01-import.t;h=1524c9f75def0b7db9acc8931ba707b16122100d;hb=d8122850f4c201c5b849cebb1f9b7f2630ae4c15;hp=cc4aebd733f494f25da4abebb14430149d9da0df;hpb=14f66d40970bef63105be046a109c1a32859a8a0;p=perl%2Fmodules%2FVariable-Magic.git diff --git a/t/01-import.t b/t/01-import.t index cc4aebd..1524c9f 100644 --- a/t/01-import.t +++ b/t/01-import.t @@ -3,11 +3,11 @@ use strict; use warnings; -use Test::More tests => 9; +use Test::More tests => 16; require Variable::Magic; -for (qw/wizard gensig getsig cast getdata dispell SIG_MIN SIG_MAX SIG_NBR/) { +for (qw/wizard gensig getsig cast getdata dispell SIG_MIN SIG_MAX SIG_NBR MGf_COPY MGf_DUP MGf_LOCAL VMG_UVAR VMG_COMPAT_ARRAY_PUSH_NOLEN VMG_COMPAT_ARRAY_UNDEF_CLEAR VMG_COMPAT_SCALAR_LENGTH_NOLEN/) { eval { Variable::Magic->import($_) }; - ok(!$@, 'import ' . $_); + is($@, '', 'import ' . $_); }